Ка
Каришка

Задана архитектура компонента на языке VHDL. Какого компонента?



architecture Behavioral of comp is
component DFF -- D триггер
port ( D,C,R : in std_logic;
Q : out std_logic);
end component;
signal T: std_logic_vector(0 to 11);
begin
T(0)<=L;
LFSR<=T(11);
ST0: DFF port map(D=>T(0),C=>Clock,R=>reset,Q=>T(1));
JK1: for i in 1 to 10 generate
begin
ST2: DFF port map(D=>T(i),C=>Clock,R=>reset,Q=>T(i+1));
end generate;
end Behavioral;

СП
Светлана Писанова

Написано ведь: "D триггер".

Похожие вопросы
Электронные компоненты
а из чего можно сделить ВЧ блок или какие компоненты туда входят
какие компоненты указаны на схеме усилителя?
Какое число базовых компонентов предполагает архитектура универсальной ЭВМ?
что такое национальный язык какие компоненты входят в национальный язык
какие есть интернет магазины по поставке электронных компонентов по Казахстану.
кто знает? архитектура комьютеров...
архитектура компьютеров
связанный с архитектурой компьютеров
Как называются эти компоненты ?